Error (10327):VHDL error at Vhdl1.vhd(16):can't determine definition of operator ""

来源:学生作业帮助网 编辑:作业帮 时间:2024/05/11 13:35:04
Error (10327):VHDL error at Vhdl1.vhd(16):can't determine definition of operator

Error (10327):VHDL error at Vhdl1.vhd(16):can't determine definition of operator ""
Error (10327):VHDL error at Vhdl1.vhd(16):can't determine definition of operator ""

Error (10327):VHDL error at Vhdl1.vhd(16):can't determine definition of operator ""
你要把代码贴出来才看得出来啊~
这个Error的字面意思是:无法确定操作符""